7nm chip fabrication? ... 5nm is gearing up for next year already

Published by

Click here to post a comment for 7nm chip fabrication? ... 5nm is gearing up for next year already on our message forum
https://forums.guru3d.com/data/avatars/m/232/232130.jpg
Koniakki:

Suddenly my 14nm++ cpu feels so outdated and last gen with all these single digit nm talks.. πŸ˜›
my 32nm feels like brand new! (nyet)
https://forums.guru3d.com/data/avatars/m/163/163032.jpg
I'd like to see a side by side comparison of the transistors
https://forums.guru3d.com/data/avatars/m/232/232504.jpg
Personally I plan going from 22nm ( 4690 ) to 7nm. Pretty good upgrade if all go well.
https://forums.guru3d.com/data/avatars/m/248/248902.jpg
my next CPU will be 2nm.
https://forums.guru3d.com/data/avatars/m/186/186763.jpg
Yeah I'm aware of all that, was just having a little joke πŸ™‚
https://forums.guru3d.com/data/avatars/m/163/163032.jpg
labidas:

my next CPU will be 2nm.
Or as Intel will call it, 14nm+++++++++++ (it's a joke!)
https://forums.guru3d.com/data/avatars/m/243/243702.jpg
nevcairiel:

You are aware that Intels 10nm is comparable in size to TSMC 7nm, right? πŸ™‚ The numbers are mostly marketing and everyone else opted to use smaller numbers to have a perceived advantage these days. Its the same thing as Intel just using 14nm+++ while other companies used 12nm to describe their "enhanced 14nm" process.
It is being repeated for so long, that intel simply does not need to make manufacturing process any denser. People will still believe it is denser and better. There is reason why intel does not tell you how many transistors their chips have. They just put out some value about process stating how many transistors per mm^2 it has. But in real world, no 2 Chips of different design have same transistor density on same manufacturing node. And achievable density of nodes improves over time. They do state that their 10nm is 2.5x denser than their 14nm. But will it make their chips of practically same design 2.5 times smaller? No.
https://forums.guru3d.com/data/avatars/m/268/268848.jpg
Wow, can't wait to see how 7nm EPYC 48~64 will do to the market, imaging the density improvement over Intel's 28 core(cores per /Server room), I am sure that many large company's already having wet dreams using them and upgrading their business.
data/avatar/default/avatar01.webp
Keep in mind transistors shrinks are pointless if the dielectric doesn't shrink with them. Pretty soon we're going to get to the point where even as we continue to shrink transistors their rise/drop times, Vcc, and leakage won't improve. As we get closer to this theoretical point development cost/time are going to grow exponentially. Don't expect to see actual 5nm common in microprocessors anytime soon.
data/avatar/default/avatar04.webp
I read where any shrink below 3nm will be very difficult. If so, are these fab companies rushing to there end? Is there really a "next great thing" tech ready to go so??
https://forums.guru3d.com/data/avatars/m/80/80129.jpg
Embra:

I read where any shrink below 3nm will be very difficult. If so, are these fab companies rushing to there end? Is there really a "next great thing" tech ready to go so??
All the major chip manufacturers are increasing R&D in next gen fabrication/material sciences. There are already a few solutions floating around in academia.. it's only a matter of time before those solutions become cheaper than fighting physics with traditional fab methods and then you'll see everyone switch.
https://forums.guru3d.com/data/avatars/m/272/272497.jpg
Interesting to see all these talks about TSMC, Intel, Samsung when almost all EUV solutions come from ASML πŸ˜‰ "ASML plans to ship its first high-NA EUV prototope/pilot systems between 2020 and 2023 to support 3-2nm process development. β€œSystem designs are now being finalized and the platform is starting to come to life,” said Lercel. ASML supplier ZEISS is building a high-NA cleanroom for optics production" At this point, everyone is trying to help out ASML with the developement of these new EUV machines that cost fortunes (afaik 100mil+/unit). The main problem is yield at this point, contamination is the biggest headache. I think ASML NXE:3350B is the model number of the EUV goodie machine πŸ˜€ https://semiengineering.com/extending-euv-to-2nm-and-beyond/
https://forums.guru3d.com/data/avatars/m/268/268848.jpg
BigMaMaInHouse:

Wow, can't wait to see how 7nm EPYC 48~64 will do to the market, imaging the density improvement over Intel's 28 core(cores per /Server room), I am sure that many large company's already having wet dreams using them and upgrading their business.
www.youtube.com/watch?v=0il7xdpUPB8&feature=youtu.be&t=479 R.I.P Intel's Premium prices (10,000 $$$ per CPU).