Intel renames nodes: 10nm+ and 7nm become Intel 7 and 4

Published by

Click here to post a comment for Intel renames nodes: 10nm+ and 7nm become Intel 7 and 4 on our message forum
https://forums.guru3d.com/data/avatars/m/229/229454.jpg
"What process do you use?" "4" "4 nm?" "No just 4" "Which is what?" "7 nm actually" "But you call it "4"?" "Yes, it gives a clearer picture"
https://forums.guru3d.com/data/avatars/m/238/238382.jpg
Trying to get 10nm right gave intel ptsd didn't it...
data/avatar/default/avatar21.webp
Who come up with these names? do they even trying? "So, what do you rocking in this bad boy? ::slaps notebook" "Well, you know, Intel 4 i7 1385G7 on 7nm" They just name it to be "on par" with TSMCs nm Comical, it will just confuse potentional customers who are not in tech.
https://forums.guru3d.com/data/avatars/m/238/238369.jpg
Intel: heres more confusion for you.
https://forums.guru3d.com/data/avatars/m/108/108389.jpg
I like the +++++++++++ suffix better.
https://forums.guru3d.com/data/avatars/m/229/229454.jpg
B-linq:

Comical, it will just confuse potentional customers who are not in tech.
Which is exactly what they are aiming for... people quickly just looking at a lower number at process and thinking yes, better. As in 4 would be better than competitors 7 nm. BUT as the number is just a number, like denoting a series, they cannot be accused of misleading advertising later. Wonder if they'll also now do a 180 on their "real-world performance" mantra as allegedly the 12th Gen CPU is faster than Ryzen in Cinebench...
data/avatar/default/avatar35.webp
like if it matter 10nm or 7nm or 4nm.... Pure marketing for both companies.
https://forums.guru3d.com/data/avatars/m/243/243189.jpg
There may be a new CEO but it looks like the marketing department still runs Intel
https://forums.guru3d.com/data/avatars/m/258/258664.jpg
Marketing buzz. Cool how they rename "their" nodes because the marketing department needs to do something too, and yet can't even get them to work. edit: And how are they now calling chips they order at e.g. TSMC? Intel 10nm+++ aka. Intel 7 made in TSMC 7nm? This is ridiculous.
data/avatar/default/avatar16.webp
AsiJu:

Which is exactly what they are aiming for... people quickly just looking at a lower number at process and thinking yes, better. As in 4 would be better than competitors 7 nm. BUT as the number is just a number, like denoting a series, they cannot be accused of misleading advertising later.
4 is better then competitors 7nm, because Intel 7 corresponds to TSMC 7nm, and 4 is the next step. They renamed because other vendors have been doing the same thing for years now. Intel 10nm is about equal to TSMC 7nm in density, so TSMC used a lower number for the same density, and Intel looked worse to those that didn't know. Intel 7 is the new name for 10nm+, corresponding roughly to TSMC 7nm. So if anything, the situation is clearer there.
https://forums.guru3d.com/data/avatars/m/248/248291.jpg
This is just muddying the waters. Now these companies will just throw out random numbers, to pretend they are in the lead. Tech savvy people, like most in this forum, can read through the BS. But most consumers will be fooled by this.
https://forums.guru3d.com/data/avatars/m/186/186763.jpg
Bought time they renamed their process nodes to match what TSMC are doing
https://forums.guru3d.com/data/avatars/m/248/248291.jpg
[youtube=0PD7IJgbuWs]
https://forums.guru3d.com/data/avatars/m/246/246564.jpg
They can call it whatever they want. I couldn't care less as long as they deliver on their proposed timeline. It's that part they seem to have a problem with, and perhaps they should focus on that, rather than marketing.
data/avatar/default/avatar04.webp
The whole "nm nodes/process" numbers are almost meaningless these days. It's so arbitrary to the point where it's like saying Intel i5 6400 is faster than Ryzen 5 5900 because 5 = 5 but 6600 > 5900. As you can see in the graph in the article, Intel's 10nm is equal or better to TSMC's 7nm, so it's really pointless. I'm glad they're moving to a different naming scheme.
data/avatar/default/avatar12.webp
AsiJu:

"What process do you use?" "4" "4 nm?" "No just 4" "Which is what?" "7 nm actually" "But you call it "4"?" "Yes, it gives a clearer picture"
Reminds me of when AMD Athlon XP started using model numbers that looked lick clock frquqnecies, but were some kind of performance measure, when compared against Intel CPUs.
https://forums.guru3d.com/data/avatars/m/266/266231.jpg
Basically : BULLS*IT
https://forums.guru3d.com/data/avatars/m/250/250418.jpg
Intel 10nm has 54nm gate pitch, 36nm metal pitch and 34nm fin pitch: where did the 10nm came from? I know, long time ago the actual size departed from the marketing, its bs. We need a new measurement, one that goes for density and not size. Actual volume of transistors on a square millimetre. Intel 10nm for me is actually: (54+36+34)/3= 42 nm. TSMC 7nm: (57+40+30)/3= 43 nm Samsung 7nm: (54+36+27)/3= 39 nm So they're actually very close in relative size 😛
https://forums.guru3d.com/data/avatars/m/268/268716.jpg
So, an Intel 7 and a Ryzen 7 are like basically the same thing just a different brand, like a Gigabyte 3080 and an Asus 3080. Thanks for making things clearer for the masses Intel.