Intel might rename nodes like 10nm to better align with chip industry

Published by

Click here to post a comment for Intel might rename nodes like 10nm to better align with chip industry on our message forum
https://forums.guru3d.com/data/avatars/m/256/256969.jpg
Oh come on... Coming next: "we're changing the way we monitor CPU power consumption and temperatures to align with industry standard"
https://forums.guru3d.com/data/avatars/m/239/239175.jpg
I don't even know what "nm" means anymore. If you look at the 7nm CPUs, the individual CPU components are listed as 20nm, 30nm, etc. Where's the 7nm coming from? Is this a CPU's equivalent of "1ms response time" and "1000000000000000000:1 contrast ratio"?
https://forums.guru3d.com/data/avatars/m/248/248994.jpg
Intel is a giant and they were producing 14nm chips before TSMC reached the equivalent level, so I could understand if Intel does feel a bit annoyed by looking worse due to the naming difference, now that TSMC is making 7 and 5nm chips routinely. That being said, Intel 10nm, the TSMC 7nm equivalent, has been a huge headache for Intel, so even if Intel does feel like it has been able to keep up with TSMC, the truth is that TSMC didn't have the problems Intel does. It's possible Intel won't have similar problems with its 7nm. Looking at all the problems the capacity lacking in the global chip manufacturing industry is causing, I sure do hope things will go more smoothly for Intel. All that being said, if Intel now changed the nomenclature of its 14nm to 10nm, and its 10nm or 7nm, it would look kind of pitiful.
https://forums.guru3d.com/data/avatars/m/246/246171.jpg
XenthorX:

Oh come on... Coming next: "we're changing the way we monitor CPU power consumption and temperatures to align with industry standard"
That's funny, but, I get why Intel is doing this. Even here, there are people who think Intel's 10nm is worse than TSCM's 7nm. Sites that compare CPU stats aren't doing Intel any favors either. Intel has already been facing image problems for almost 4 years, and with the release of the 11900K, it hasn't got better. Using their competitor's way of measuring transistors is one way to seem like they're actually making competitive progress, especially when you consider how much people make such a fuss about it.
data/avatar/default/avatar25.webp
RealNC:

I don't even know what "nm" means anymore. If you look at the 7nm CPUs, the individual CPU components are listed as 20nm, 30nm, etc. Where's the 7nm coming from? Is this a CPU's equivalent of "1ms response time" and "1000000000000000000:1 contrast ratio"?
I think is the transistor gate not the whole component/transistor.
https://forums.guru3d.com/data/avatars/m/201/201426.jpg
Lol Intel. Trying to save face
data/avatar/default/avatar21.webp
RealNC:

I don't even know what "nm" means anymore. If you look at the 7nm CPUs, the individual CPU components are listed as 20nm, 30nm, etc. Where's the 7nm coming from?
It used to be the "minimum gate length" of a single transistor. But it has shifted into marketing more and more over the years, partly due to changes how the transitors are actually designed, partly, well, just for marketing. Roughly, 32nm was the last node where this measurement was somewhat accurate, as any newer processes diverged from it due to changes in the transistor design.
https://forums.guru3d.com/data/avatars/m/282/282473.jpg
nuclear velocity boost incoming. whatever they name it,no more of this backporting nonsense after rkl.no one needs performance increase that comes with 250w pl on a six core.
data/avatar/default/avatar25.webp
Applied postmodernism, at silicon level.
data/avatar/default/avatar25.webp
After the 45nm node, the gate width lost its meaning as an universal metric across the different fabs. The industry should probably move to something of higher order and practical, like the size of a standard 6T SRAM cell.
https://forums.guru3d.com/data/avatars/m/258/258688.jpg
Poor, misunderstood Intel...their notion of nm process is following right long with their notions of TDP and their "productivity" benchmarks...*cough*...;)
data/avatar/default/avatar32.webp
There should be an industry standard all follow.
https://forums.guru3d.com/data/avatars/m/263/263710.jpg
To the untrained eye, Intel's new 10nm SuperFIN architecture sounds a lot less advanced than the TSMC 7nm process AMD uses on some of the best cpus, but nanometer numbers can be deceiving, because both have similar density.
https://forums.guru3d.com/data/avatars/m/246/246171.jpg
Embra:

There should be an industry standard all follow.
I vote for transistors per square millimeter. Generally speaking the thing that the industry cares about is transistor density. Fitting more transistors in a smaller space either allows you to produce more working dies per-wafer, or, allows you to make bigger and more complex dies (which is a lot of the problem with the 11th gen CPUs, since the architecture was meant to be on a smaller node). Besides, a nanometer is so small that it isn't really easy to conceive. But when you account for how many thousands of transistors you could fit into a single square millimeter, that puts things into a more interesting perspective. At least to me it does.
https://forums.guru3d.com/data/avatars/m/235/235344.jpg
Does this mean that win they say that 7nm is on track they are talking about the TSMC 5nm or 4? Those statements already adjusted?
https://forums.guru3d.com/data/avatars/m/268/268248.jpg
Makes sense really. I can not fault em for that
https://forums.guru3d.com/data/avatars/m/282/282473.jpg
tsmc should now rename theirs 😀
https://forums.guru3d.com/data/avatars/m/132/132389.jpg
XenthorX:

Oh come on... Coming next: "we're changing the way we monitor CPU power consumption and temperatures to align with industry standard"
I wouldn't put it past them, but in this extremely rare case Intel aren't lying through their teeth, and it is true. Their 10nm is sort of comparable to TSMC's 7nm. Edit - Typo.
https://forums.guru3d.com/data/avatars/m/256/256969.jpg
Neo Cyrus:

I wouldn't put it past them, but in this extremely rare case Intel aren't lying through their teeth, and it is true. Their 10nm is sort of comparable to TSCM's 7nm.
I know nothing about the topic at hand tbh, just a bit irritated that Intel hasn't managed to turn things over yet. 8 cores pulling 300watts while AMD does 16cores pulling 220W, what's going on at Intel?!
https://forums.guru3d.com/data/avatars/m/132/132389.jpg
XenthorX:

I know nothing about the topic at hand tbh, just a bit irritated that Intel hasn't managed to turn things over yet. 8 cores pulling 300watts while AMD does 16cores pulling 220W, what's going on at Intel?!
I expect things to turn around now that they have Pat Gelsinger, an actual engineer rather than just a businessman, as CEO. But what Gelsinger's decisions result in, will take years to show.